Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond

Author:  ["Chi-Chun Liu","Elliott Franke","Yann Mignot","Ruilong Xie","Chun Wing Yeung","Jingyun Zhang","Cheng Chi","Chen Zhang","Richard Farrell","Kafai Lai","Hsinyu Tsai","Nelson Felix","Daniel Corliss"]

Publication:  Nature Electronics

CITE.CC academic search helps you expand the influence of your papers.

Tags:     Electronics

Abstract

The drive to deliver increasingly powerful and feature-rich integrated circuits has made technology node scaling—the process of reducing transistor dimensions and increasing their density in microchips—a key challenge in the microelectronics industry. Historically, advances in optical lithography patterning have played a central role in allowing this trend to continue. Directed self-assembly of block copolymers is a promising alternative patterning technique that offers sub-lithographic resolution and reduced process complexity. However, the feasibility of applying this approach to the fabrication of critical device layers in future technology nodes has never been verified. Here we compare the use of directed self-assembly and conventional patterning methods in the fabrication of 7 nanometre node FinFETs, using an industrially relevant and high-volume manufacturing-compliant test vehicle. Electrical validation shows comparable device performance, suggesting that directed self-assembly could offer a simplified patterning technique for future semiconductor technology. A comparison between the use of directed self-assembly and conventional patterning methods in the fabrication of 7 nm node FinFETs shows similar device performance, suggesting directed self-assembly could offer a simplified patterning technique for future semiconductor technology nodes.

Cite this article

Liu, CC., Franke, E., Mignot, Y. et al. Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond. Nat Electron 1, 562–569 (2018). https://doi.org/10.1038/s41928-018-0147-4

View full text

>> Full Text:   Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond

A provable key destruction scheme based on memristive crossbar arrays

Integrated microthermoelectric coolers with rapid response time and high device reliability